Install - Icarus verilog

Overview

패키지 업데이트가 비교적 느린편이므로, 성능 & 기능이 우수한 최신 릴리즈를 사용하려고 합니다.

Icarus verilog install

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
    $ sudo apt install autoconf gperf

    $ git clone https://github.com/steveicarus/iverilog.git

    ~/iverilog$ git tag

    ...

    v10_0
    v10_1
    v10_1_1
    v10_2
    v10_3

    ...

    $ git checkout v10_3
    $ sh autoconf.sh

    $ ./configure 
    $ make
    $ sudo make install

설치 관련해서 참고하면 좋은 문서

설치가 끝났으니 테스트 합니다~

helloverilog.v

1
2
3
4
module helloverilog;
    initial
        $display("Hello, Verilog!");
endmodule

iverilog 컴파일러를 실행시킵니다.

iverilog compile

1
$ iverilog hello.v -o hello

시뮬레이션 합니다.

자세한 과정은 차차 알아나가보죠~

Run

1
2
$ vvp hello
Hello, Verilog!

성공적으로 설치했습니다. 짝짝짝